Схема на dac. Цифрово-аналогови преобразуватели: видове, класификация, принцип на действие, предназначение

В електрониката схемата на ЦАП е вид система. Преобразува цифров сигнал в аналогов.

Съществуват няколко схеми на DAC. Пригодността за дадено приложение се определя от качествените характеристики, включително разделителна способност, максимална честота на дискретизация и други.

Цифрово-аналоговите преобразуватели могат да влошат сигнала, така че е важно да се намери инструмент, който има незначителни грешки от гледна точка на приложението.

Приложения

ЦАП-овете обикновено се използват в музикалните плейъри за преобразуване на цифрови потоци от данни в аналогови аудиосигнали. Те се използват също така в телевизори и мобилни телефони за преобразуване на видеоданни във видеосигнали, които се свързват с драйвери на екрана, за да отразяват монохромни или многоцветни изображения.

Именно тези две приложения използват DAC схеми в противоположните краища на компромиса между гъстотата на пикселите и броя на пикселите. Аудиото е нискочестотно, с висока разделителна способност, а видеото е високочестотно, с ниска и средна разделителна способност.

Поради сложността и нуждата от прецизно съчетаване на компонентите всички ЦАП, с изключение на най-специализираните, се изпълняват като интегрални схеми (ИС). Дискретните връзки обикновено са изключително бързи, с ниска разделителна способност и пестящи енергия, използвани във военните радарни системи. Оборудването за много високоскоростни тестове, особено осцилоскопите за дискретизация, също може да използва дискретни ЦАП.

Преглед

Частично константният изходен сигнал на конвенционален ЦАП без филтър е вграден в почти всяко устройство, а първоначалният образ или крайната честотна лента на конструкцията изглаждат реакцията на височината в непрекъсната крива.

Отговорът на въпроса: "Какво е DAC??", трябва да се отбележи, че този компонент преобразува абстрактно число с крайна точност (обикновено двоична цифра с фиксирана десетична запетая) във физическа величина (например напрежение или налягане). По-специално, цифрово-аналоговите преобразуватели често се използват за модифициране на данни от времеви редове в непрекъснато променящ се физически сигнал.

Идеалният ЦАП преобразува абстрактни числа в концептуална поредица от импулси, които след това се обработват чрез филтър за реконструкция, като се използва някаква форма на интерполация за попълване на данните между импулсите. Обичайният практически цифрово-аналогов преобразувател превръща числата в константна функция на парче, съставена от последователност от правоъгълни модели, които се генерират със задържане на нулев ред. Също така, отговорът на въпроса "Какво е DAC?"Заслужава да се споменат и други методи (напр. базирани на делта-сигма модулация). Те произвеждат изход с модулирана плътност на импулсите, който може да бъде подобен на филтър за получаване на плавно променящ се сигнал.

Съгласно теоремата на Nyquist-Shannon за дискретизация, ЦАП може да възстанови оригиналната вибрация от дискретизираните данни, при условие че зоната на вграждане отговаря на определени изисквания (напр. импулс с основна честотна лента и линия с по-ниска плътност). Цифровата проба представлява грешка на квантуване, която се появява като шум от ниско ниво в реконструирания сигнал.

Опростена функционална схема на 8-битов инструмент

В началото трябва да се отбележи, че повечето популярен модел е цифрово-аналоговият преобразувател Real Cable NANO-DAC. ЦАП е част от усъвършенстваната технология, която има значителен принос за цифровата революция. За да илюстрирате, разгледайте типично телефонно обаждане на дълги разстояния.

Гласът на обаждащия се преобразува в аналогов електрически сигнал от микрофона и след това този импулс се модифицира в цифров поток с помощта на ЦАП. След това те се разделят на мрежови пакети, откъдето могат да бъдат изпратени заедно с други цифрови данни. И може да не е задължително да е аудио.

След това пакетите се получават в местоназначението, но всеки пакет може да се движи по напълно различен маршрут и дори да не достигне местоназначението си в правилния ред или в правилното време. След това цифровите речеви данни се извличат от пакетите и се сглобяват в общ поток от данни. ЦАП преобразува този сигнал обратно в аналогов електрически сигнал, който задвижва аудиоусилвател (напр. цифрово-аналогов преобразувател Real Cable NANO-DAC). А той от своя страна активира високоговорител, който накрая издава желания звук.

Аудио

Повечето съвременни аудиосигнали се съхраняват в цифров вид (напр. MP3 и CD). Те трябва да се преобразуват в подобен импулс, за да се чуят през високоговорителите. По този начин D/A преобразуватели могат да се намерят в телевизори, CD плейъри, цифрови музикални системи и звукови карти за персонални компютри.

Специални самостоятелни ЦАП-и могат да се намерят и в Hi-Fi системите от висок клас. Обикновено те приемат цифровия изход на съвместим CD плейър или специален транспорт и преобразуват сигнала в аналогов линеен изход, който след това може да се подаде към усилвател за управление на високоговорители.

Подобни D/A преобразуватели могат да бъдат открити в цифрови колони, като например USB високоговорители, и в звукови карти.

В приложенията за излъчване на глас по IP източникът трябва първо да се цифровизира за предаване, така че да се преобразува чрез АЦП и след това да се преобразува в аналогов с помощта на ЦАП в приемния край. Този метод се използва например при някои цифрово-аналогови преобразуватели (TV).

Изображение

Основни типове цифрови аналогови преобразуватели

Вземането на образци обикновено работи в съвсем различна скала, обикновено поради силно нелинейната реакция както на CRT (за които е проектирано по-голямата част от цифровото видео), така и на човешкото око, което използва гама крива, за да осигури равномерно разпределени стъпки на яркостта в динамичния диапазон на дисплея. Оттук и необходимостта RAMDAC в компютърните видеоприложения с доста дълбока цветова разделителна способност непрактично да създава твърдо кодирана стойност в DAC за всяко изходно ниво на всеки канал (например Atari ST или Sega Genesis биха изисквали 24 такива стойности; 24-битова видеокарта би изисквала 768).

Като се има предвид това присъщо изкривяване, често се твърди, че линейното контрастно съотношение (разликата между най-тъмното и най-яркото изходно ниво) на телевизора или видеопроектора е 1 000:1 или повече. Това е равносилно на 10 бита точност на звука, въпреки че той може да приема сигнали само с 8-битова точност и използва LCD панел, който показва едва 6-7 бита на канал. Прегледите на КПР се публикуват на тази основа.

Видеосигналите от цифров източник, например компютър, трябва да се преобразуват в аналогови, за да се показват на монитор. От 2007 г. насам подобни входове се използват по-често, отколкото цифрови, но това се промени, тъй като плоските дисплеи с DVI или HDMI връзки станаха по-разпространени. Въпреки това, ЦАП за видео е вграден във всеки цифров видео плейър със същите изходи. Цифрово-аналоговият преобразувател обикновено се интегрира с някакъв вид памет (RAM), която съдържа таблици за реорганизация на гама, контраст и яркост, за да се създаде приспособление, наречено RAMDAC.

Устройството, което е дистанционно свързано с DAC, е потенциометър с цифрово управление, използван за приемане на сигнала.

Механичен дизайн

Предназначение на Tsap

Например пишещата машина IBM Selectric вече използва неръкотворен ЦАП за управление на топчето.

Принципната схема на цифрово-аналоговия преобразувател изглежда по следния начин.

Еднобитовото механично задвижване заема две позиции: една при включване, други при изключване. Движението на няколко еднобитови задвижвания може да се комбинира и претегли с невибриращо устройство, за да се получат по-точни стъпки.

Точно тази система се използва в пишещата машина IBM Selectric.

Основни типове цифрово-аналогови преобразуватели

  1. Широчинно-импулсен модулатор, при който стабилен ток или напрежение се превключва към нискочестотен аналогов филтър с продължителност, определена от цифров входен код. Този метод често се използва за управление на скоростта на двигателя и за димиране на LED светлини.
  2. Цифрово-аналоговите аудиопреобразуватели с преизчисляване или интерполация на ЦАП, като например тези, използващи делта-сигма модулация, използват метода на изменение на плътността на импулсите. Скорости от над 100 хил. проби в секунда (напр. 180 kHz) и 28-битова разделителна способност са постижими с делтасигма устройство.
  3. Елемент с двоично тегло, който съдържа отделни електрически компоненти за всеки бит на ЦАП, свързан към точката на сумиране. Той е този, който може да подрежда операционния усилвател. Силата на тока на източника е пропорционална на теглото на бита, на който съответства. По този начин всички ненулеви битове на кода се сумират с тегло. Това е така, защото те използват един и същ източник на напрежение. Това е един от най-бързите начини за преобразуване, но не е идеален. Така че както е проблем: ниска точност поради големия обем данни, необходими за на всяко отделно напрежение или ток. Такива високопрецизни компоненти са скъпи, така че този тип модели обикновено са ограничени до 8-битова разделителна способност или дори по-малка. Превключваемият резистор има предназначението на цифрово-аналогови преобразуватели в паралелни мрежови източници. Отделните инстанции се включват електрически на базата на цифровия вход. Принцип на работа Цифрово-аналоговият преобразувател от този тип се състои от ЦАП с импулсен източник на ток, от който се избират различни ключове въз основа на цифровия вход. Той включва синхронна кондензаторна линия. Тези отделни елементи се свързват или изключват с помощта на специален механизъм (крачен превключвател), който се намира в близост до всички щепсели.
  4. Цифрово-аналогови преобразуватели от тип "стълба", които представляват двоично претеглен елемент. Той от своя страна използва повтарящата се структура на каскадните стойности на резистора R и 2R. Това подобрява точността поради относителната простота на производството на механизъм със същата номинална стойност (или източници на ток).
  5. Последователно стъпаловиден или цикличен ЦАП, който конструира изходите един след друг по време на всяка стъпка. Отделните битове на цифровия вход се обработват от всички съединители, докато се отчете целият обект.
  6. Термометърът е кодиран ЦАП, който съдържа еднакъв резистор или сегмент с токов източник за всяка възможна стойност на изхода на ЦАП. 8-битов преобразувател на данни за термометър ще има 255 части, а 16-битов преобразувател на данни за термометър ще има 65 535 части. Това вероятно е най-бързата и най-точната архитектура на ЦАП, но за сметка на високата цена. При този тип ЦАП се постига скорост на преобразуване над един милиард проби в секунда.
  7. Хибридни ЦАП, които използват комбинация от горните методи в един преобразувател. Повечето интегрални схеми за ЦАП са от типа този тип Поради трудността да се постигнат едновременно ниска цена, висока скорост и висока точност в едно и също устройство.
  8. Сегментирани ЦАП, които интегрират принципа на термодвойково кодиране за по-високите цифри и двоично претегляне за по-ниските компоненти. По този начин се постига компромис между точността (чрез използване на принципа на кодиране на термометъра) и броя на резисторите или източниците на ток (чрез използване на двоично претегляне). Устройството за дълбоко двойно действие означава 0 % сегментация, а дизайнът с пълно термометрично кодиране има 100 %.

Повечето от ЦАП-овете в този списък разчитат на постоянно референтно напрежение, за да създадат изходната си стойност. Алтернативно, умножаващият ЦАП приема променливо входно напрежение за преобразуването им. Това налага допълнителни ограничения при проектирането на широчината на честотната лента на схемата за реорганизация. Вече е ясно за какво служат различните видове ЦАП.

Изпълнение

Производителността на DAC е важна за плодовитост система. Най-важните характеристики на тези устройства са разделителната способност, която се създава за прилагането на цифрово-аналоговия преобразувател.

Броят на възможните изходни нива, които ЦАП е проектиран да възпроизвежда, обикновено се определя като броя на използваните от него битове, като това е основата на двата логаритъма на броя на нивата. Например 1-битов ЦАП е предназначен за възпроизвеждане на две, а 8-битов - за 256 схеми. Допълнението е свързано с ефективния брой битове, който е мярка за действителната разделителна способност, постигната от ЦАП. Разделителната способност определя дълбочината на цветовете във видео приложенията и аудиобитова скорост В аудио устройствата.

Максимална честота

Класификация на ЦАП

Измерването на най-високата скорост, при която веригата на ЦАП може да работи и все още да произвежда правилен изходен сигнал, определя връзката между нея и широчината на честотната лента на дискретизирания сигнал. Както е посочено по-горе, теоремата на Nyquist-Shannon за дискретизация свързва непрекъснати и дискретни сигнали и гласи, че всеки сигнал може да бъде реконструиран с всякаква точност от дискретните му отчети.

Mono

Принцип на работа

Това понятие се отнася до способността на аналоговия изход на ЦАП да се движи само в посоката, в която се движи цифровият вход. Тази характеристика е много важна за ЦАП, които се използват като нискочестотен източник.

Общо хармонично изкривяване и шум (THD + N)

Измерването на изкривяването и чуждия шум, внасяни от ЦАП в сигнала, се изразява като процент от общата мощност на нежеланото хармонично изкривяване и шума, които съпътстват желания сигнал. Това е много важна характеристика за приложенията на ЦАП с динамични и малки изходи.

Обхват

Измерване на разликата между най-висок и малки сигнали, които един ЦАП може да възпроизведе, изразени в децибели, обикновено са свързани с разделителната способност и нивото на шума.

Други измервания, като фазови изкривявания и джитер, също могат да бъдат много важни за някои приложения. Има и такива (напр. безжични данни, композитно видео), които дори могат да разчитат на точното придобиване на фазово задействан сигнал.

Линейното дискретизиране на звука PCM обикновено се основава на това, че разделителната способност на всеки бит се равнява на шест децибела амплитуда (удвояване на силата на звука или точността).

Нелинейните PCM кодирания (A-law / μ-law, ADPCM, NICAM) се опитват да подобрят ефективните си динамични диапазони по различни начини - Логаритмичният размер на стъпката между изходните аудио нива, представени от всеки бит данни.

Класификация на ЦАП

Цифрово-аналогови преобразуватели

Класификацията според нелинейността ги разделя на:

  1. Разграничаване на нелинейността, която показва доколко две съседни кодови думи се отклоняват от идеалната стъпка от 1 LSB.
  2. Натрупаната нелинейност показва до каква степен предаването на ЦАП се отклонява от идеалното.

Това означава, че идеалната характеристика обикновено е права линия. INL показва с колко действителното напрежение при дадена стойност на кода се различава от тази линия в ниските битове.

Печалба

Типове DAC

В крайна сметка шумът се ограничава от топлинното бръмчене, генерирано от пасивни компоненти като резистори. За аудиоприложения и при стайна температура този звук обикновено е малко под 1 µV (микроволт). Това ограничава производителността до по-малко от 20 бита, дори при 24-битови ЦАП.

Производителност в честотната област

Динамичният обхват без паразити (SFDR) показва в dB съотношението между мощността на преобразувания основен сигнал и най-голямото нежелано отхвърляне.

Коефициентът на шум към изкривяване (SNDR) показва в dB съотношението между мощността на преобразувания основен сигнал и неговата сума.

Общото кохерентно изкривяване (THD) е сумата от мощностите на всички HDi.

Ако максималната грешка на DNL е по-малка от 1 LSB, цифрово-аналоговият преобразувател е гарантирано равномерен. Въпреки това много монотонни инструменти могат да имат максимална стойност на DNL, по-голяма от 1 LSB.

Изпълнението във времевата област:

  1. Импулсна зона на глич (енергия на глич).
  2. Несигурност на отговора.
  3. Време на нелинейност (TNL).

Основни операции на ЦАП

Преобразуватели тип

Аналогово-цифровият преобразувател приема точно число (най-често двоично число с фиксирана десетична запетая) и го преобразува във физическа величина (например напрежение или налягане). ЦАП-овете често се използват за реорганизиране на данни от времеви редове с крайна точност в непрекъснато променящ се физически сигнал.

Идеалният цифрово-аналогов преобразувател взема абстрактни числа от поредица от импулси, които след това се обработват с помощта на интерполация, за да се попълнят данните между сигналите. Конвенционалният цифрово-аналогов преобразувател превръща числата в поетапно константна функция, състояща се от поредица от правоъгълни стойности, която се моделира със задържане от нулев ред.

Преобразувателят реконструира оригиналните сигнали така, че честотната им лента да отговаря на определени изисквания. Цифровото дискретизиране е съпроводено с грешки при квантуването, които създават шум на ниско ниво. Това се добавя към реконструирания сигнал. Минималната амплитуда на аналоговия звук, която може да предизвика промяна в цифровия, се нарича най-малко значим бит (LSB). А грешката (закръглянето), която се получава между аналоговите и цифровите сигнали, се нарича грешка на квантуване.

Статии по темата